• cm0002@lemmy.world
    link
    fedilink
    English
    arrow-up
    12
    arrow-down
    10
    ·
    2 months ago

    No, it still means what it always has, and each step still introduces good gains.

    It’s just that each step is getting smaller and MUCH more difficult and we still aren’t entirely sure what to do after we get to 1. In the past we were able to go from 65nm in 2006 to 45 in 2008. We had 7nm in 2020, but in that same 2 year time frame we are only able to get to 5nm

    And now we’ve reached the need for decimal steps with this 1.6.

    • Eager Eagle@lemmy.world
      link
      fedilink
      English
      arrow-up
      35
      ·
      edit-2
      2 months ago

      Later each new generation process became known as a technology node[17] or process node,[18][19] designated by the process’ minimum feature size in nanometers (or historically micrometers) of the process’s transistor gate length, such as the “90 nm process”. However, this has not been the case since 1994,[20] and the number of nanometers used to name process nodes (see the International Technology Roadmap for Semiconductors) has become more of a marketing term that has no standardized relation with functional feature sizes or with transistor density (number of transistors per unit area).[21]

      https://en.wikipedia.org/wiki/Semiconductor_device_fabrication#Feature_size

      personally, I don’t care they try to simplify these extremely complicated chip layouts, but keep calling it X nanometers when there’s nothing of that feature size is just plain misleading.

    • Dudewitbow@lemmy.zip
      link
      fedilink
      English
      arrow-up
      3
      ·
      2 months ago

      it doesnt mean what it traditionally mean since findett due yo the idea that finfett involves a folding process where its not necessarily a transistor in a traditional sense.

      its the main reason what intel was conplaining about when it decided to rename its processes to be in lone with tsmc/samsung. Intel’s 10nm process is actually a more dense pack of transitors than both TSMC’s 7nm and Samsung’s 8nm. so you have to make a stance, either TSMC/Samsung is over representing the definition, or Intel is underrepresenting it. because of it, either of the two actions need to happen:

      TSMC/Samsung need to increase the number of their process because its illogical that a competitor has a more dense node with a higher number.

      or

      Intel renames their process with a lower number to better match its density when compared to TSMC/Samsung. Because Intel as a company only has the power to do this, this is what they did, and were underfire for it.

      regardless, the nm stated in the nm does not represent what it used to traditionally mean, as whatever stance you have, some company is lying about their numbers.

      • cm0002@lemmy.world
        link
        fedilink
        English
        arrow-up
        2
        arrow-down
        4
        ·
        2 months ago

        No doubt there’s lying and marketing spin going on, but these nm numbers aren’t just all fluff. They’re kinda like how hard drive manufacturers market drives. They’ll say its “2TB” or something but in reality it offers only 1.8TB of usable space. It’s similar with nm sizes; a 7nm from TSMC might stretch the truth a bit, but it’s still somewhat grounded in real specs, not wildly off.

        • Dudewitbow@lemmy.zip
          link
          fedilink
          English
          arrow-up
          5
          ·
          edit-2
          2 months ago

          the hard drive one is more the concept of measuring things in base 10 or base 2, its caused by the rounding of 1000(10^3) vs 1024(2^10), hence where theres a difference between Gibibytes and Gigabytes.

          finfetts decision was basically, its physically supposed to be one number, but folding it offers a performance increase (but not exactly equal to doubling transistors) so be picked an arbitrary lower number to represent ts peeformance. the problem is because its arbitrary, TSMC/Samsung gets all the power to fudge the numbers up.

          if intels 10nm was more dense than TSMC 7, it shouldnt have been called TSMC 7, it should have been closer to TSMC 10 or 11.

          12/16nm is when finfett technology was used, and the start of where the numbers started to get fudged.